§ 瀏覽學位論文書目資料
  
系統識別號 U0002-1907200922164600
DOI 10.6846/TKU.2009.00683
論文名稱(中文) 新穎且有建設性的資料壓縮方案應用於低功耗測試
論文名稱(英文) A Novel Constructive Data Compression Scheme for Low-Power Testing
第三語言論文名稱
校院名稱 淡江大學
系所名稱(中文) 電機工程學系碩士班
系所名稱(英文) Department of Electrical and Computer Engineering
外國學位學校名稱
外國學位學院名稱
外國學位研究所名稱
學年度 97
學期 2
出版年 98
研究生(中文) 李威霖
研究生(英文) Wei-Lin Li
學號 696450054
學位類別 碩士
語言別 英文
第二語言別
口試日期 2009-06-09
論文頁數 87頁
口試委員 指導教授 - 饒建奇
委員 - 李建模
委員 - 梁新聰
委員 - 饒建奇
關鍵字(中) 測試資料壓縮
低功耗測試
可測試設計
關鍵字(英) Test Data Compression
Low Power Testing
Design for Testability(DFT)
第三語言關鍵字
學科別分類
中文摘要
隨著超大型積體電路(very large scale integration, VLSI)的設計趨勢演變成系統單晶片(system-on-a-chip, SoC)設計,一顆系統晶片包含了許多可重複使用的矽智財(intellectual property, IP)。為了能夠完整地測試所設計的電路,我們必須事先產生測試時所需要的測試資料,並且將這些測試資料儲存在自動測試機台(automatic test equipment, ATE)的記憶體中。可想而知的是,隨著積體電路(integrated circuit, IC)越來越複雜,測試資料量是非常龐大的,而自動測試機台的通道頻寬與記憶體容量是有限的。如此一來,要將龐大的資料量從自動測試機台的記憶體中透過有限頻寬的通道來傳送到待測電路是有困難的。針對這個問題,測試資料壓縮(test data compression)技術是一個常用的解決方法。這主要的效益不僅能夠減少資料量,更能夠同時達到縮短測試應用時間的效果。在本篇論文中,我們提出兩個測試資料壓縮方案應用於低功耗測試。

    在第3章中,我們提出一種低功耗的測試資料壓縮技術,其適用於單一掃描鏈的架構。在本方法中,我們提出一個掃描鏈重新排列的演算法來降低測試功率消耗。此外,我們還提出了一種測試片段差異(test slice difference, TSD)的技術,以減少測試資料量。此技術在硬體的實現上只需要單一個掃描元件(scan cell)。因此,與環狀的掃描鏈(cyclical scan chains, CSR)技術比較,我們所提出的方法具有較高的實用價值。從ISCAS’89測試電路的實驗結果中可以看出,我們所提出的方法能夠得到較高的資料壓縮率。與其它有名的測試資料壓縮技術比較,在測試功率消耗的部分,亦能獲得較佳的結果。

    在第4章中,針對多重掃描鏈的測試環境,我們提出一種新穎且有建設性的資料壓縮方案來降低測試資料量,並節省測試時的功率消耗。我們的方法只儲存資料的轉換點資訊於自動測試機台中。並且利用“Read Selector”硬體來過濾掉不需要編碼的資料。本方法的解壓縮器硬體使用緩衝器(buffer)來暫存上一筆資料的狀態。我們還提出一個重新配置多重掃描鏈的演算法,並且提出一個新式的線性相依(linear dependency)計算方法來找出隱蔽的線性相依關係。在測試實例部分,我們使用ISCAS’89測試電路來模擬。在實驗結果中顯示,我們所提出的方法優於selective scan slice encoding。其壓縮率與功率消耗分別改善了57%與77%。
英文摘要
As the design trends of very large scale integration (VLSI) circuit evolve into system-on-a-chip (SoC) design, each chip contains several reusable intellectual property (IP) cores. In order to test the chip completely, we must generate a test set for testing in advance, and store these test patterns in memory of automatic test equipment (ATE). One can imagine that test data volume increases as the integrated circuits (ICs) become complex, yet the bandwidth and memory capacity of ATE is limited. Thus, it is difficult to transmit huge test data from ATE memory to SoC. Test data compression is one of the most often used methods to deal with this problem. This technique not only reduces the volume of test data, but also shortens test application time simultaneously. In this thesis, we present two test data compression scheme for low-power testing.

    In Chapter 3, a low power strategy for test data compression scheme with single scan chain is presented. In this method, we propose an efficient algorithm for scan chain reordering to deal with the power dissipation problem. In addition, we also propose a test slice difference (TSD) technique to improve test data compression. It is an efficient technique and only needs one scan cell. Consequently, hardware overhead is much lower than the cyclical scan chains (CSR) technique. In experimental results, our technique achieves high compression ratio for several large ISCAS’89 benchmark circuits. The power consumption is also better compared with other well-known compression technique.

    In Chapter 4, we present a novel constructive data compression scheme that reduces both test data volume and shifting-in power for multiple scan chains. In this scheme, we only store the changed point information in ATE and use “Read Selector” to filter unnecessary encoded data. The decompression architecture contains buffers to hold the preceding data. We also propose a new algorithm to assign multiple scan chains and a new linear dependency computation method to find the hidden dependency between test slices. Experimental results show that the proposed scheme respectively outperforms previous method (selective scan slice encoding) by 57% and 77% in test data volume and power consumption on larger circuits in ISCAS’89 benchmarks.
第三語言摘要
論文目次
TABLE OF CONTENTS

中文摘要................................................. I
英文摘要............................................... III
Table of Contents........................................ V
List of Figures....................................... VIII
List of Tables.......................................... XI

CHAPTER 1 INTRODUCTION................................... 1
1.1 Motivation........................................... 1
1.2 Thesis Overview...................................... 2

CHAPTER 2 BACKGROUND AND PREVIOUS WORK................... 4
2.1 Preliminaries........................................ 4
2.2 Basic Concepts....................................... 7
2.2.1 Data compression issues............................ 7
2.2.1.1 Filling unspecified.............................. 7
2.2.1.2 Change entropy of the original test data......... 8
2.2.1.3 Scan chain reordering............................ 8
2.2.2 Power dissipation issues........................... 8
2.3 Previous Work....................................... 13
2.3.1 Single scan chain................................. 13
2.3.1.1 Run-Length code and Golomb code................. 13
2.3.1.2 FDR code and VIHC code.......................... 13
2.3.1.3 EFDR code....................................... 14
2.3.1.4 Alternating RL code and RL-Huffman code......... 14
2.3.1.5 Block merging technique......................... 15
2.3.2 Multiple scan chains.............................. 18
2.3.2.1 Selective scan slice encoding................... 18
2.3.2.2 Dictionaries with selective entries and fixed-length indices.......................................... 22
2.3.2.3 Hybrid test data compression method............. 24
2.3.2.4 Three-stage compression approach................ 27
2.3.2.5 Multilayer data copy scheme..................... 31

CHAPTER 3 PROPOSED TEST DATA COMPRESSION
METHOD WITH SINGLE SCAN CHAIN........................... 35
3.1 Test Slice Reordering............................... 35
3.1.1 Method 1.......................................... 36
3.1.2 Method 2.......................................... 36
3.1.3 Method 3.......................................... 38
3.1.4 Method 4.......................................... 38
3.1.5 Test slice reordering algorithm................... 38
3.1.6 Conflict checking................................. 40
3.2 X-filling with Longer Runs.......................... 41
3.3 Test Vector Reordering.............................. 43
3.4 Test Slice Difference (TSD)......................... 43
3.5 TSD Data Encode..................................... 45
3.6 Decompression Architecture.......................... 47
3.7 Experimental Results................................ 49
3.7.1 Proposed TSD and reordering improvement........... 49
3.7.2 Proposed encoding procedure....................... 51
3.3.3 Power dissipation................................. 52

CHAPTER 4 PROPOSED TEST DATA COMPRESSION
METHOD WITH MULTIPLE SCAN CHAINS........................ 57
4.1 Decompression Architecture.......................... 57
4.2 Test Data Compression Scheme........................ 60
4.2.1 Multiple scan chains reconstruction............... 60
4.2.2 Centralized-Filling............................... 63
4.2.3 Linear dependency computation..................... 65
4.2.4 Test slice difference (TSD)....................... 67
4.2.5 Symbol decreasing................................. 67
4.2.6 Encoding by the Interval Length of Ones........... 70
4.3 Experimental Results................................ 72
4.3.1 Proposed encoding procedure....................... 72
4.3.2 Power dissipation................................. 78

CHAPTER 5 CONCLUSIONS................................... 81

REFERENCES.............................................. 82


LIST OF FIGURES

Figure 1.1 Fundamental architecture for test data compression.............................................. 2

Figure 2.1 Dynamic power dissipation in a CMOS logic gate.................................................... 10
Figure 2.2 Illustration of manufacturing yield loss..... 12
Figure 2.3 Example of weighted transition count......... 12
Figure 2.4 Example of various schemes (a) initial test vector (b) Run-Length code (c) Golomb code (d) FDR code (e) VIHC code (f) EFDR code (g) Alternating RL code (h) RL-Huffman code............................................ 14
Figure 2.5 Example of block merging technique........... 17
Figure 2.6 The decompression architecture of selective scan slice encoding..................................... 18
Figure 2.7 Example of selective scan slice encoding (a) initial test set (b) X-filling (c) Encoding............. 20
Figure 2.8 The decompression architecture of dictionary-based compression scheme................................ 23
Figure 2.9 Example of grouping the scan chains into several clique.......................................... 24
Figure 2.10 Overall framework of hybrid test data compression method...................................... 25
Figure 2.11 Example of scan chain compaction (a) single scan chain and initial test set (b) conflict graph for scan cells (c) compacted scan chain network............. 25
Figure 2.12 The decompression architecture of hybrid test data compression method................................. 27
Figure 2.13 The encoding procedure of three-stage compression approach.................................... 27
Figure 2.14 The decompression architecture of three-stage compression approach.................................... 28
Figure 2.15 Example of width compression (a) initial test set (b) conflict graph for scan chains (c) compressed test data and the fan-out structure (d) compressed test data and the gated fan-out structure......................... 29
Figure 2.16 Illustration of the decoding architecture (a) decoding architecture (b) switching box (c) switching box implementation (d) multilayer organization.............. 32
Figure 2.17 Example of multilayer data copy scheme...... 33

Figure 3.1 Main steps of the proposed procedure......... 35
Figure 3.2 Example of fundamental definitions........... 36
Figure 3.3 Test slice reordering procedure (a) method 1 (b) method 2 (c) method 3 (d) method 4.................. 37
Figure 3.4 Pseudo-code of the proposed test slices reordering.............................................. 39
Figure 3.5 Example of conflict in group (a) initial test cubes (b) conflict in grouping (c) conflict checking.... 40
Figure 3.6 Pseudo-code of conflict checking............. 41
Figure 3.7 Example of test slices reordering and X-filling (a) initial test data (b) method 1 (c) method 2 (d) method 3 (e) method 4.......................................... 42
Figure 3.8 Example of test vector reordering............ 43
Figure 3.9 The architecture of test vector decompression (a) cyclical scan chain for test vector difference (b) our proposed test slice difference.......................... 44
Figure 3.10 Example of proposed procedure (a) initial test vector set (b) test slice groups (c) scan chain with scan cell inverted (d) X-filling (e) TSG set (f) test vector reordering (g) test slice difference (h) Huffman table (i) encoded data............................................ 46
Figure 3.11 Concept of our test decompression architecture............................................ 48
Figure 3.12 Peak power results (a) MinTest test sets (b) TetraMAX test sets...................................... 53
Figure 3.13 Average power results (a) MinTest test sets (b) TetraMAX test sets.................................. 54

Figure 4.1 Main steps of the proposed procedure......... 57
Figure 4.2 Decompression architecture (a) overview of test environment (b) detail of “Decompressor”.............. 58
Figure 4.3 Pseudo-code of multiple scan chains reconstruction.......................................... 61
Figure 4.4 Example of scan chains reconstruction (a) initial test cubes (b) TSG and corresponding pre-group (c) component of scan chains (d) test slices data and corresponding pre-scan.................................. 62
Figure 4.5 Example of centralized-filling (a) initial test cubes (b) assign specified bit in those no transition fragment (c) set the same data transition point (d) final filled test data........................................ 64
Figure 4.6 Example of traditional Gauss-Jordan Elimination (a) initial test set (b) general (c) transform into transition matrix (d) result............................ 66
Figure 4.7 Example of TSD (a) initial test set (b) result.................................................. 67
Figure 4.8 Pseudo-code of Symbol decreasing............. 68
Figure 4.9 Example of symbol decreasing (a) initial test set (b) result.......................................... 70
Figure 4.10 Example of encoding process................. 71
Figure 4.11 Variation of encoded data volume with different count-code length for circuit s38584 (a) MinTest test sets (b) TetraMAX test sets........................ 71
Figure 4.12 Variation of compression ratio with different internal scan chains (a) MinTest test sets (b) TetraMAX test sets............................................... 77
Figure 4.13 Peak power results (a) MinTest test sets (b) TetraMAX test sets...................................... 78
Figure 4.14 WTC results (a) MinTest test sets (b) TetraMAX test sets............................................... 79


LIST OF TABLES

Table 2.1 The encoding rules for block merging technique............................................... 16
Table 2.2 Block size encoding for block merging technique............................................... 18

Table 3.1 Proportion of 1’s in test slice difference and test vector difference (%).............................. 45
Table 3.2 Characteristics of ISCAS’89 test sets........ 49
Table 3.3 Test data volume improvement ratio in MinTest test sets............................................... 50
Table 3.4 Test data volume improvement ratio in TetraMAX test sets............................................... 50
Table 3.5 Compression results in MinTest test sets...... 51
Table 3.6 Compression results in TetraMAX test sets..... 52
Table 3.7 Peak power results............................ 55
Table 3.8 Average power results......................... 56

Table 4.1 Compression results in MinTest test sets...... 73
Table 4.2 Compression results in TetraMAX test sets..... 74
Table 4.3 Comparison of compression results with previous works................................................... 75
Table 4.4 Comparison of compression results with [6] in MinTest test sets....................................... 75
Table 4.5 Comparison of compression results with [6] in TetraMAX test sets...................................... 76
參考文獻
[1] M. L. Bushnell and V. D. Agrawal, Essentials of Electronic Testing, Norwell, MA: Kluwer, 2000.
[2] The International Technology Roadmap for Semiconductors (ITRS): 2007 Edition. http://www.itrs.net/Links/2007ITRS/Home2007.htm.
[3] Y. Zorian, “A distributed BIST control scheme for complex VLSI devices,” in Proc. IEEE VLSI Test Symp. (VTS’93), Atlantic City, NJ, USA, Apr. 6-8, 1993, pp. 4-9.
[4] P. Girad, “Survey of low-power testing of VLSI circuits,” IEEE Design & Test of Computers, vol. 19, no. 3, May-June, 2002, pp. 82-92.
[5] A. Jas and N. A. Touba, “Test vector decompression via cyclical scan chains and its application to testing core-based designs,” in Proc. IEEE Int. Test Conf. (ITC’98), Washington, DC, USA , Oct. 18-23, 1998, pp. 458-464.
[6] N. Badereddine, Z. Wang, P. Girard, K. Chakrabarty, A. Virazel, S. Pravossoudovitch, and C. Landrault, “A selective scan slice encoding technique for test data volume and test power reduction,” Journal of Electronic Testing: Theory and Applications, vol. 24, no. 4, Aug. 2008, pp. 353-364.
[7] P.-H. Wu, T.-T. Chen, W.-L. Li, and J.-C. Rau, “An efficient test-data compaction for low power VLSI testing,” in IEEE Int. Conf. on Electro/Information Technology (EIT’08), Ames, IA, USA, May 18-20, 2008, pp. 237-241.
[8] R. Sankaralingam, R. R. Oruganti, and N. A. Touba, “Static compaction techniques to control scan vector power dissipation,” in Proc. IEEE VLSI Test Symp. (VTS’00), Montreal, QC, Canada, Apr. 30-May 4, 2000, pp. 35-40.
[9] I. Hamzaoglu and J. H. Patel, “Test set compaction algorithms for combinational circuit,” in Proc. IEEE Int. Conf. Computer-Aided Design (ICCAD’98), New York,
NY, USA, Nov. 8-12, 1998, pp. 283-289.
[10] L.-T. Wang, C.-W. Wu, and X. Wen, VLSI Test Principles and Architectures: Design for Testability, San Francisco: Morgan Kaufmann, 2006.
[11] A. El-Maleh, “Efficient test compression technique based on block merging,” IET. Comput. & Digit. Tech., vol. 2, no. 5, Sept. 2008, pp. 327-335.
[12] A. El-Maleh, “Test data compression for system-on-a-chip using extended frequency-directed run-length code,” IET. Comput. & Digit. Tech., vol. 2, no. 3, May 2008, pp. 155-163.
[13] X. Kavousianos, E. Kalligeros, and D. Nikolos, “Multilevel Huffman coding: An efficient test-data compression method for IP cores,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 26, no. 6, June 2007, pp. 1070-1083.
[14] X. Kavousianos, E. Kalligeros, and D. Nikolos, “Optimal selective Huffman coding for test-data compression,” IEEE Trans. Comput., vol. 56, no. 8, Aug. 2007, pp. 1146-1152.
[15] M. Tehranipoor, M. Nourani, and K. Chakrabarty, “Nine-coded compression technique for testing embedded cores in SoCs,” IEEE Trans. on VLSI Syst., vol. 13,
no. 6, June 2005, pp. 719-731.
[16] M. Nourani and M. H. Tehranipour, “RL-Huffman encoding for test compression and power reduction in scan applications,” ACM Trans. on Des. Autom. of Electr. Syst.,
vol. 10, no. 1, Jan. 2005, pp. 91-115.
[17] P. T. Gonciari, B. M. Al-Hashimi, and N. Nicolici, “Variable-length input Huffman coding for system-on-a-chip test,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 22, no. 6, June 2003, pp. 783-796.
[18] A. Jas, J. Ghosh-Dastidar, M. Ng, and N. A. Touba, “An efficient test vector compression scheme using selective Huffman coding,” IEEE Trans. Comput.-Aided
Des. Integr. Circuits Syst., vol. 22, no. 6, June 2003, pp. 797-806.
[19] A. Chandra and K. Chakrabarty, “Test data compression and test resource partitioning for system-on-a-chip using frequency-directed run-length (FDR) codes,” IEEE Trans. Comput., vol. 52, no. 8, Aug. 2003, pp. 1076-1088.
[20] L. Li, K. Chakrabarty, and N. A. Touba, “Test data compression using dictionaries with selective entries and fixed-length indices,” ACM Trans. on Des. Autom. of Electr. Syst., vol. 8, no. 4, Oct. 2003, pp. 470-490.
[21] A. Chandra and K. Chakrabarty, “System-on-a-chip test-data compression and decompression architectures based on Golomb codes,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 20, no. 3, Mar. 2001, pp. 355-368.
[22] W.-L. Li, P.-H. Wu, and J.-C. Rau, “Reducing switching activity by test slice difference technique for test volume compression,” in Proc. IEEE Int. Symp. on
Circuits and Syst. (ISCAS'09), Taipei, Taiwan, May 24-27, 2009, pp. 2986-2989.
[23] W.-L. Li, T.-T. Chen, P.-H. Wu, and J.-C. Rau, “Test slice difference technique for low power encoding,” in IEEE Int. Workshop on High Level Design Validation and
Test (HLDVT’08), Incline Village, NV, USA, Nov. 19-21, 2008, pp. 25-32.
[24] T. Kim, S. Chun, Y. Kim, M.-H. Yang, and S. Kang, “An effective hybrid test data compression method using scan chain compaction and dictionary-based scheme,” in
Proc. IEEE Asian Test Symp. (ATS’08), Sapporo, Japan, Nov. 24-27, 2008, pp. 151-156.
[25] M. Tehranipour, M. Nourani, and K. Chakrabarty, “Nine-coded compression technique with application to reduced pin-count testing and flexible on-chip
decompression,” in Proc. IEEE Des., Autom. Test Eur. (DATE’04), Washington, DC, USA, vol. 2, Feb. 16-20, 2004, pp. 1284-1289.
[26] S. Hellebrand and A. Würtenberger, “Alternating run-length coding - A technique for improved test data compression,” in IEEE Int. Workshop on Test Resource
Partitioning (TRP’02), Baltimore, MD, USA, Oct. 10-11, 2002.
[27] M.-F. Wu, J.-L. Huang, X. Wen, K. Miyase, “Reducing power supply noise in linear-decompressor-based test data compression environment for at-speed scan testing,” in Proc. IEEE Int. Test Conf. (ITC’08), Santa Clara, CA, USA, Oct. 28-30, 2008, pp. 13.1.1-13.1.10.
[28] Z. Wang, K. Chakrabarty, and M. Bienek, “A seed-selection method to increase defect coverage for LFSR-reseeding-based test compression,” in Proc. IEEE European Test Symp. (ETS'07), Freiburg, Germany, May 20-24, 2007, pp. 125-130.
[29] J. Lee and N. A. Touba, “Combining linear and non-linear test vector compression using correlation-based rectangular coding,” in Proc. IEEE VLSI Test Symp. (VTS’06), Berkeley, CA, USA, Apr. 30-May 4, 2006, pp. 252-257.
[30] L.-T. Wang, X. Wen, S. Wu, Z. Wang, Z. Jiang, B. Sheu, X. Gu, “VirtualScan: Test compression technology using combinational logic and one-pass ATPG,” IEEE
Design & Test of Computers, vol. 25, no. 2, Mar.-Apr., 2008, pp. 122-130.
[31] K.-J. Lee, J.-J. Chen, and C.-H. Huang, “Broadcasting test patterns to multiple
circuits,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 18, no. 12, Dec. 1999, pp. 1793-1802.
[32] A. Chandra, F. Ng, and R. Kapur, “Low power Illinois scan architecture for simultaneous power and test data volume reduction,” in Proc. IEEE Des., Autom. Test Eur. (DATE’08), Munich, Germany, Mar. 10-14, 2008, pp. 462-467.
[33] A. Wurtenberger, C. S. Tautermann, and S. Hellebrand, “Data compression for multiple scan chains using dictionaries with corrections,” in Proc. IEEE Int. Test Conf. (ITC’04), Washington, DC, USA, Oct. 26-28, 2004, pp. 926-934.
[34] L. Li, K. Chakrabarty, S. Kajihara, and S. Swaminathan, “Three-stage compression approach to reduce test data volume and testing time for IP cores in SOCs,” IEE Proc. Comput. & Digit. Tech., vol. 152, no. 6, Nov. 2005, pp. 704-712.
[35] S.-P. Lin, C.-L. Lee, J.-E. Chen, J.-J. Chen, K.-L. Luo, and W.-C. Wu, “A multilayer data copy test data compression scheme for reducing shifting-in power for multiple scan design,” IEEE Trans. on VLSI Syst., vol. 15, no. 7, July 2007, pp. 767-776.
[36] X. Kavousianos, E. Kalligeros, and D. Nikolos, “Multilevel-Huffman test-data compression for IP cores with multiple scan chains,” IEEE Trans. on VLSI Syst., vol. 16, no. 7, July 2008, pp. 926-931.
[37] Y. Shi, N. Togawa, S. Kimura, M. Yanagisawa, and T. Ohtsuki, “FCSCAN: An efficient multiscan-based test compression technique for test cost reduction,” in Proc.
IEEE Asia South Pacific Design Autom. Conf. (ASP-DAC'06), Piscataway, NJ, USA, Jan. 24-27, 2006, pp. 653-658.
[38] O. Sinanoglu, “Scan architecture with Align-Encode,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 27, no. 12, Dec. 2008, pp. 2303-2316.
[39] I. Bayraktaroglu and A. Orailoglu, “Decompression hardware determination for test volume and time reduction through unified test pattern compaction and compression,”
in Proc. IEEE VLSI Test Symp. (VTS’03), Washington, DC, USA, Apr. 27-May 1, 2003, pp. 113-118.
[40] H. Tang, S. M. Reddy, and I. Pomeranz, “On reducing test data volume and test application time for multiple scan chain designs,” in Proc. IEEE Int. Test Conf. (ITC’03), Sept. 30-Oct. 2, 2003, pp. 1079-1088.
[41] O. Sinaoglu, I. Bayraktaroglu, and A. Orailoglu, “Scan power reduction through test data transition frequency analysis,” in Proc. IEEE Int. Test Conf. (ITC’02), Washington, DC, USA, Oct. 7-10, 2002, pp. 844-850.
[42] N.-C. Lai, S.-J. Wang, and Y.-H. Fu, “Low-power BIST with a smoother and scan-chain reorder under optimal cluster size,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 25, no. 11, Nov. 2006, pp. 2586-2594.
[43] E. Alpaslan, Y. Huang, X. Lin, W.-T. Cheng, and J. Dworak, “Reducing scan shift power at RTL,” in Proc. IEEE VLSI Test Symp. (VTS’08), San Diego, CA, USA, Apr.
27-May. 1, 2008, pp. 139-146.
[44] S. Wang and S. K. Gupta, “ATPG for heat dissipation minimization during test application,” IEEE Trans. Comput., vol. 47, no. 2, Feb. 1998, pp. 256-262.
[45] W.-D. Tseng and L.-J. Lee, “Reduction of power dissipation during scan testing by test vector ordering,” in IEEE Int. Workshop on Microprocessor Test & Verification
(MTV’07), Austin, TX, USA, Dec. 5-6, 2007, pp. 15-21.
[46] N. Badereddine, P. Girard, S. Pravossoudovitch, C. Landrault, A. Virazel, and H.-J. Wunderlich, “Minimizing peak power consumption during scan testing: Test pattern
modification with X filling heuristics,” in Proc. IEEE Design & Test of Integrated Systems in Nanoscale Technology (DTIS’06), Tunis, Tunisia, Sept. 5-7, 2006, pp.
359-364.
[47] A. Chandra and K. Chakrabarty, “Combining low-power scan testing and test data compression for system-on-a-chip,” in Proc. IEEE Design Autom. Conf. (DAC’01),
New York, NY, USA, 2001, pp. 166-169.
[48] K. J. Balakrishnan and N. A. Touba, “Relationship between entropy and test data compression,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 26, no. 2,
Feb. 2007, pp. 386-395.
[49] S.-J. Wang, S.-C. Chen, and K. S.-M. Li, “Design and analysis of skewed-distribution scan chain partition for improved test data compression,” in Proc. IEEE Int. Symp. on Circuits and Syst. (ISCAS'08), Seattle, WA, USA, May 18-21, 2008, pp. 2641-2644.
[50] H. Fang, C. Tong, and X. Cheng, “RunBasedReordering: A novel approach for test data compression and scan power,” in Proc. IEEE Asia South Pacific Design Autom.
Conf. (ASP-DAC'07), Yokohama, Japan, Jan. 23-26, 2007, pp. 732-737.
[51] C. Kim and S.-M. Kang, “A low-swing clock double-edge triggered flip-flop,” IEEE J. Solid-State Circuits, vol. 37, no. 5, May. 2002, pp. 648-652.
[52] C.-Y. Wang and K. Roy, “Maximum power estimation for CMOS circuits using deterministic and statistical approaches,” IEEE Trans. on VLSI Syst., vol. 6, no. 1,
Mar. 1998, pp. 134-140.
[53] N. Nicolici and X. Wen, “Embedded tutorial on low power test,” in Proc. IEEE European Test Symp. (ETS’07), Freiburg, Germany, May. 20-24, 2007, pp. 202-207.
[54] K.-J. Lee, T.-C. Huang, and J.-J. Chen, “Peak-power reduction for multiple-scan circuits during test application,” in Proc. IEEE Asian Test Symp. (ATS’00), Taipei, Taiwan, Dec. 4-6, 2000, pp.453-458.
[55] D. Brelaz, “New methods to color the vertices of a graph,” Commun. ACM, vol. 22, no. 4, Apr. 1979, pp.251-256.
[56] Synopsys TetraMAX ATPG user guide version x-2005.09.
[57] C. Cullen, Linear Algebra with Applications. Addison-Wesley, 1997.
論文全文使用權限
校內
紙本論文於授權書繳交後5年公開
同意電子論文全文授權校園內公開
校內電子論文於授權書繳交後5年公開
校外
同意授權
校外電子論文於授權書繳交後5年公開

如有問題,歡迎洽詢!
圖書館數位資訊組 (02)2621-5656 轉 2487 或 來信