§ 瀏覽學位論文書目資料
  
系統識別號 U0002-1306201309475700
DOI 10.6846/TKU.2013.00345
論文名稱(中文) 低成本功率導向之多重鏈結串列式編碼方案
論文名稱(英文) Power-Aware Multi-Chains Encoding Scheme for Low-Cost Environment
第三語言論文名稱
校院名稱 淡江大學
系所名稱(中文) 電機工程學系博士班
系所名稱(英文) Department of Electrical and Computer Engineering
外國學位學校名稱
外國學位學院名稱
外國學位研究所名稱
學年度 101
學期 2
出版年 102
研究生(中文) 吳柏翰
研究生(英文) Po-Han Wu
學號 895440021
學位類別 博士
語言別 英文
第二語言別
口試日期 2013-05-28
論文頁數 184頁
口試委員 指導教授 - 饒建奇(jcrau@ee.tku.edu.tw)
委員 - 江正雄(chiang@ee.tku.edu.tw)
委員 - 呂學坤(sklu@mail.ntust.edu.tw)
委員 - 陳竹一(jechen@ee.ncu.edu.tw)
委員 - 李建模(cmli@cc.ee.ntu.edu.tw)
關鍵字(中) 測試壓縮
低功率測試資料壓縮
單晶片系統測試
關鍵字(英) Scan based testing
Low power testing
Test data compression
System-on-Chip (SoC)
Design for Testability (DfT)
第三語言關鍵字
學科別分類
中文摘要
隨著測試資料不斷成長,測試成本也日益增加。為了降低測試成本,針對多重鏈結串列的測試架構下,本篇論文提出一個適用於大型電路的壓縮方式。此方法對於矽智財(Intellectual Property, IP)模組,或是單晶片系(System-On-a-Chip, SoC)都非常適用。同時考量在低成本的測試設備環境中,盡可能地降低電能轉換以及增加壓縮率。

  此壓縮架構基礎為固定長度的電能轉換紀錄方式,當測試資料的電能轉換頻率較低時,我們使用一個過濾器過濾不必要資料轉換,並保留目前的電能狀態,以降低電量的耗損。我們也提出一個新的演算法,可以重新配置多重鏈結串列結構,使其電能耗損率更低,壓縮結果更好。除此之外,本篇論文亦提出一個新的矩陣轉換方式,可以找出隱藏的資料相依關係。結果顯示本論文提出的方法,可同時降低電能轉換以及測試資料量。

  實驗結果顯示,當超大型積體電路的複雜度越益成長,測試所需的輸入腳位增加幅度卻非常低。針對ISCAS’89測試電路,使用MinTest或TetraMAX產生的測試資料,本論文提出的方法,平均壓縮率為63%。比對Selective Scan Slice (SSS)方法,對於MinTest測試資料,瞬間最大轉換電能減少3倍,平均電能轉換減少6.6倍;對於TetraMAX測試資料,瞬間最大電能轉換減少2.3倍,平均電能轉換減少5.6倍。平均硬體增加幅度為MinTest的6%及TetraMAX的6.5%。
英文摘要
As test data volumes continue to grow, test costs also increase. To lower test costs, this paper presents a new compression method for testing large circuits, based on multiple scan-chains and an unknown structure. This method is targeted at intellectual property (IP) cores and system-on-a-chip (SoC) circuits. This study considers the shift-in power and compression ratio in low-cost ATE environments. 

  This study presents a new compression architecture with fixed length for testing large circuits. Because power-aware test data are not changed frequently, a selector is used to filter the unnecessary status, and buffers are used to hold the back data. A new algorithm is proposed to assign multiple scan-chains. An improved linear dependency compute method is also proposed to determine the hidden dependency between scan-chains. Experimental results show that the proposed method can reduce both test data volume and shift-in power. 

  The results showed that when the VLSI circuit grows in complexity, number of input pins required for testing increases slowly. The average compression ratio of the proposed method was 63% for MinTest and TetraMAX. On ISCASce for both tests, a value of 3x/6.6x was observed for MinTest and 2.3x/5.6x for TetraMAX, after comparing Selective Scan Slice (SSS) with the proposed method. The averages of hardware overhead costs were 6% for MinTest and 6.5% for TetraMAX.
第三語言摘要
論文目次
TABLE OF CONTENTS

	
中文摘要………………………………………………………………..	I
英文摘要...……………………………………………………………...	III
Table of Contents ……………………………………………...	V

List of Figures ………………………………………………...	IX

List of Tables ………………………………………………….	XV
	
	
	
Chapter 1 INTRODUCTION ………………………………	1
	
1.1 Motivation ……………………………………………………………………..	3
1.2 Thesis Overview ……………………………………………………………...	5
	
Chapter 2 BASIC CONCEPTS ……………………………	6
	
2.1 Faults ……………………………………………………………………………	6
     2.1.1 Circuit Defects and Faults ………………………………………………	7
     2.1.2 Fault Detection ………………………………………………………….	10
2.2 Fault Simulation …………………………………………………….	13
2.3 Full-Scan Architecture …………………….………………………..	15
2.4 Built-In Self Test …………………………………………………….	18
    2.4.1 BIST Pattern Generation ……………………………………………........	19
    2.4.2 Test Pattern Generation for BIST ……………………………………….	20
    2.4.3 Seed Calculation of Linear Feedback Shift Register ……………………	24
    2.4.4 Test-Per-Clock BIST Systems …………………………………………..	25
    2.4.5 Test-Per-Scan BIST Systems ……………………………………………	27
    2.4.6 Transformed Patterns For BIST …………………………………………	29
    2.4.7 Circuit Partitioning for BIST ……………………………………………	33
    2.4.8 Built-In Reseeding For BIST …………………………………………….	35
2.5 Low Power Testing ………………………………………………………...	36
    2.5.1 Power Dissipation and Power Issues ……………………………………	36
    2.5.2 The Challenges of Low Power Testing ………………………………….	41
    2.5.3 Low Power Testing Techniques ………………...……………………....	42
        2.5.3.1 DFT-based ………………………………………………………	43
        2.5.3.2 DFT-based ………………………………………………………	45
2.6 Data compression issues …………………..………...………………	47
    2.6.1 Filling unspecified bits ………………………………………………….	50
    2.6.2 Change entropy of the original test data …………………………………	51
    2.6.3 Scan chain reordering …………………………………………………….	51
	
Chapter 3 PREVIOUS METHODOLOGIES …………….	52
	
3.1 Modifying-Bit Architecture …………………………………………	52
3.2 Multiple Polynomial LFSR Architecture …………………………..	55
3.3 Test Data Compression Methods …………………………………..	56
    3.3.1 Single scan chain …………………………………………………………	56
        3.3.1.1 Run-Length code and Golomb code …………………………….	56
        3.3.1.2 FDR code and VIHC code ……………………………………….	56
        3.3.1.3 EFDR code ……………………………………………………….	57
        3.3.1.4 Alternating RL code and RL-Huffman code ……………………	58
        3.3.1.5 Block merging technique ……………………………………….	58
    3.3.2 Multiple scan chain ………………………………….………………….	61
        3.3.2.1 Selective scan slice encoding …………………………………...	61
        3.3.2.2 Dictionaries with selective entries and fixed-length indices……	65
        3.3.2.3 Hybrid test data compression method ……………………….....	68
        3.3.2.4 Three-stage compression approach ……………………………..	71
        3.3.2.5 Multilayer data copy scheme …………………………………….	75
	
Chapter 4 PROPOSED HARDWARE ARCHITECTURE .....	78
	
4.1 Decompression Architecture ……………………………………….....	78
4.2 Detail of n to m Decompressor ……………………………...………	80
4.3 Dictionary based …………………………………………………….	80
4.4 Run-Length based …………………………………………………..	81
	
Chapter 5 PROPOSED COMPRESSION ALGORITHMS	84
	
5.1 Multiple Scan-Chain Reconstruction ……………………………....	85
5.2 Centralized-Filling ………………………………………………….	91
5.3 Linear Dependency computes ……………………………………...	92
5.4 Test Slices Difference ……………………………………………….	94
5.5 Symbol decreases …………………………………………………...	94
5.6 Encoding …………………………………………………………….	100
	
Chapter 6 PROPOSED PSEUDO CYCLE ………………	101
	
6.1 Overview Of Architecture …………………………………………..	101
6.2 Detail Of Dcompressor Architecture …………………………….....	105
6.3 Proposed compressing algorithm …………………………………..	111
    6.3.1 Slice the original pattern …………………………………………………	112
    6.3.2 Getting solving vector ……………………………………………………	113
    6.3.3 Getting ATE data …………………………………………………………	117
	
	
	
Chapter 7 SIMULATION RESULTS …………………….	124
	
7.1 Results without scan-chains reconstruction …………………...........	126
7.2 Results with scan-chains reconstruction …………………………....	135
7.3 Pseudo cycle ………………………….….………………………….	142
	
Chapter 8 CONCLUSIONS ………………………………...	145
8.1 Contributions ………………………………………………………	145
8.2 Disadvantages ………………………………………………………	147
	
References ……………………………………………………..	148
	
Appendix ………………………………………………………	162
Appendix 1 MaxClique.cpp ……………………………………………..	162
Appendix 2 SSS.cpp …………………………………………………….	169
Appendix 3 Huffman.cpp …………………………………………….....	174
Appendix 4 MutiCode.cpp ……………………………………………...	178



 

LIST OF FIGURES



Figure 1.1     Testing of embedded cores…...……………………………..……	2
Figure 1.2     Architecture of the BIST ………………………………………...	2
Figure 1.3     Principle of testing ………………………………………………	3
	
Figure 2.1     A circuit of fault-free and faulty ………………………………...	8
Figure 2.2     An example of single stuck-at fault model ………………………	8
Figure 2.3    A three-input NAND gate with, (a) no faults (b) x1 with ans-a-0 fault, and (c) x1 with an s-a-1 fault …………………………….	9
Figure 2.4     An example of a single stuck-at fault ……………………………	11
Figure 2.5     A test for x1 s-a-0 detects a different between the faulty circuit and the fault-free circuit ………………………………………..	12
Figure 2.6     Calculated the fault coverage ……………………………………	13
Figure 2.7     Difficulty of detecting stuck-at-faults in a sequential circuit ……	16
Figure 2.8     Example of scan chain (a) A muxed-D scan cell (b) A sample scan chain ………………………………………………………	16
Figure 2.9    Conventional full-scan designed circuit …………………………	17
Figure 2.10    Exhaustive pattern generator ……………………………………	21
Figure 2.11    Backtracing for pseudo-exhaustive testing ………………………	22
Figure 2.12    Standard linear feedback shift register ………………………….	23
Figure 2.13    A 4-stage LFSR connected to a chain …………………………...	24
Figure 2.14    The remaining equations of the scan flip-flops ………………….	25
Figure 2.15    The matrix which represents the equations ……………………...	25
Figure 2.16    Test-Per-Clock scheme (a) Test-per-clock (b) Large input count test-per-clock system ………………………………………….	26
Figure 2.17    Test-Per-Scan scheme (a) Simple (b) Alternative system ………	27
Figure 2.18    STUMPS test-per-scan testing system ………………………….	28
Figure 2.19    Example of a Rectangle in the B-Matrix and its Corresponding Bit-Fixing Logic ………………………………………………	30
Figure 2.20    Cube Mapping with Source Cube a1'a2' (0,1,X) and Image cube a2'a3 (X,0,1)……………………………………………………	31
Figure 2.21    Block Diagram for Generating Transformed Patterns …………...	32
Figure 2.22    Output cones ……………………………………..………………	33
Figure 2.23    General and independent of the underlying BIST scheme ………	34
Figure 2.24    A 4-stage LFSR with Reseeding circuit …………………………	36
Figure 2.25    Dynamic power dissipation in a CMOS logic gate………………	37
Figure 2.26    Illustration of manufacturing yield loss …………………………	39
Figure 2.27    Example of weighted transition count …………………………	40
	
Figure 3.1     The architecture of modifying-bit ………………………………	53
Figure 3.2     Obtaining the useful pattern ………………………...……………	54
Figure 3.3     Multiple Polynomial LFSR Architecture ……………...…………	55
Figure 3.4     Example of various schemes (a) initial test vector (b) Run-Length code. (c) Golomb code (d) FDR code (e) VIHC code (f) EFDR code (g) Alternating RL code (h) RL-Huffman code …………..	57
Figure 3.5     Example of block merging technique …………...……………….	60
Figure 3.6     The decompression architecture of selective scan slice encoding ……………...………………………...………………	62
Figure 3.7     Example of selective scan slice encoding (a) initial test set (b) X-filling ……………...………………………...……………….	63
Figure 3.8     Encoding of figure 3.7…………………………………….………	64
Figure 3.9     The decompression architecture of dictionary-based compression scheme ……………...………………………...………………...	66
Figure 3.10    Example of grouping the scan chains into several clique ………..	67
Figure 3.11    Overall framework of hybrid test data compression method …….	68
Figure 3.12    Example of scan chain compaction (a) single scan chain and initial test set (b) conflict graph for scan cells (c) compacted scan chain network …………………………………………….	69
Figure 3.13    The decompression architecture of hybrid test data compression method …………………………………………………………	70
Figure 3.14    The encoding procedure of three-stage compression approach …	71
Figure 3.15    The decompression architecture of three-stage compression approach ………………………………………………………..	72
Figure 3.16    Example of width compression (a) initial test set (b) conflict graph for scan chains (c) compressed test data and the fan-out structure (d) compressed test data and the gated fan-out structure ………………………………………………………	73
Figure 3.17    Figure 3.17 Example of width compression after figure 3.16 (a) compressed test data and the fan-out structure (b) compressed test data and the gated fan-out structure ………………………	74
Figure 3.18    Illustration of the decoding architecture (a) decoding architecture (b) switching box (c) switching box implementation (d) multilayer organization ………………………………………..	76
Figure 3.19    Example of multilayer data copy scheme ……………………….	77
	
Figure 4.1    Decompression architecture (a) overview of test environment. (b) detail of decompressor …………………………………………	79
Figure 4.2    Decompressor architecture of method 2 …………………………	81
Figure 4.3    Relationship of M2 between codeword length and average compression ratio (b) average symbol ratio ……………………	83
	
Figure 5.1    Main steps of the proposed procedure …………………………..	84
Figure 5.2    Example of conflicts in group (a) initial test cube (b) conflict in grouping (c) conflict checking …………………………………	86
Figure 5.3    Pseudo-code of conflict checking ………………………………..	87
Figure 5.4    Pseudo-code of multiple scan-chain assignment ………………...	88
Figure 5.5    Example of scan-chain reconstruction (a) initial test cubes (b) TSG and corresponding pre-group after sorting by X (c) component of scan-chains (d) test slices data and corresponding pre-scan ………………………………………………………..	90
Figure 5.6    Centralized filling and linear dependency computes ……………	91
Figure 5.7    Example of trasnsitional Gauss-Jordan Elimination (a)initial test set (b) general (c) transform into transition matrix (d) result ……………………………………………………………	93
Figure 5.8    Compression processes after figure 5.6 (a) test slices difference (b) decreased symbol and read enable data ……………………	95
Figure 5.9    Pseudo-code of Symbol decreases ………………………………	97
Figure 5.10   Example of reconvert logic feedback error (a) dependency matrix and corresponding reconvert logic (b) reconvert tree of v1~v4 (c) after reconvert logic feedback checking ……………………	99
Figure 5.11   Encoded data volume in figure 5.8 (a) encoded data and statistics of distance between 1s (b) M1: Dictionary based (c) M2: Run-Length based ……………………………………………...	100
	
Figure 6.1    Overview of test environment ……………………………………	102
Figure 6.2    Detail of decompressor architecture ……………………………..	104
Figure 6.3    A sample of PPRPG linked to Selection ………………………….	106
Figure 6.4    A sample of PPRPG linked to Selection Mux ………………….	108
Figure 6.5    Set the decompressor in mode 0 …………………………………	109
Figure 6.6    Set the decompressor in mode 1 …………………………………	109
Figure 6.7    Mode 1 uses mask. If the bit of mask is 1, we pass it. If the bit of mask is 0, we set the bit in 0 …………………………………..	110
Figure 6.8    Procedure of getting ATE data ………………………………….	111
Figure 6.9    A sample of slicing original patterns ……………………………	113
Figure 6.10   Example of getting solving vector from Vector Set (a) Getting desired Selection from Vector Set (b) Getting MUX of Selection from desired Selection (c) Getting solving Selection from desired Selection (d) Getting solving vector from solving Selection and MUX of Selection ………………………………	115
Figure 6.11   (a) PPRPG architecture (b) the data after 3 cycles (c) simplification data of (b) ………………………………………	118
Figure 6.12   Example of PPRPG data ………………………………………….	119
	
Figure 6.13   Our Architecture Example of getting ATE data (a) solving vector (b) select specific bits (c) the equations of specific bits (d) the seed (e) substitute (d) to (c) will get the matrix (f) solving by Gauss-Elimination and get the ATE data ………………………	122
Figure 6.14   An example of mode 1, we insert these data in Figure 4.5(c) cycle1 ………………………………………………………….	123
	
Figure 7.1    Pseudo-code of implemented SSS ……………………………….	125
Figure 7.2    #SC Curves without scan-chains reconstruction by method 2 (a) TetraMAX (b) MinTest ………………………………………..	133
Figure 7.3    #SC Curves with scan-chains reconstruction by method 2 (a) TetraMAX (b) MinTest ………………………………………..	137
	
 

LIST OF TABLES



Table 2.1     The system of test-per-clock is different from system of the test-per-scan ……………………………………………………..	29
	
Table 3.1     The encoding rules for block merging technique ………………...	62
Table 3.2     Block size encoding for block merging technique ………………..	64
	
Table 4.1     Encoded data and represented interval range …………………….	85
	
Table 7.1     Statistics of ISCAS 89 benchmark ………………………………..	127
Table 7.2     Test Pattern Information of ISCAS’89 benchmark ………………	128
Table 7.3     Data volume comparison results without scan-chains reconstruction by using TetraMAX ……………………………	127
Table 7.4     Data volume comparison results without scan-chains reconstruction by using MinTest and other test set ……………	128
Table 7.5     Hardware of compression results without scan-chains reconstruction …………………………………………………	130
Table 7.6     Test data of compression results without scan-chains reconstruction …………………………………………………	131
Table 7.7     Power comparison results without scan-chains reconstruction …	134
Table 7.8     Data volume comparison results with scan-chains reconstruction .	135
Table 7.9     Data volume comparison results with scan-chains reconstruction by using MinTest and other test set ……………………………	136
Table 7.10    Hardware of compression results with scan-chains reconstruction	139
Table 7.11    Test data of compression results with scan-chains reconstruction .	140
Table 7.12    Power comparison results with scan-chains reconstruction ………	141
Table 7.13    The comparing results with other methods ……………………….	143
Table 7.14    Data volume comparison results by using MinTest and other test set ………………………………………………………………	144
參考文獻
[1]	N. A. Touba, E.J. McCluskey, “Test Point Insertion Based on Path Tracing,” in Proc. VLSI Test Symposium, 1996, pp. 2-8.
[2]	M. Lempel, S.K. Gupta and M.A. Breuer, “Test Embedding with Discrete Logarithms,” in Proc. IEEE VLSI Test Symposium, 1994, pp. 74-78.
[3]	N. A. Touba and E.J. McCluskey, “Altering Bit Sequence to Contain Predetermined Patterns,” US Patent 6,061,818, May. 2000.
[4]	L.-T. Wang, C.-W. Wu, and X. Wen, VLSI Test Principles and Architectures: Design for Testability, San Francisco: Elsevier, 2006.
[5]	M. L. Bushnell, and V. D. Agrawal, “Essentials of Electronic Testing For Digital, Memory and Mixed-Signal VLSI Circuit”, Kluwer Academic, 2000.
[6]	E. J. McCluskey, “Verification Testing – A Pseudo-Exhaustive Test Technique,” IEEE Trans. on Computers, vol. C-33, no. 6, June 1984, pp. 541-546.
[7]	P. H. Bardell, W. H. McAnney, and J. Savir, “Built-In Test for VLSI: Pseudorandom Techniques,” New York: John Wiley & Sons, Inc., 1987.
[8]	E. J. McCluskey, “Built-In Self-Test Techniques,” in Proc. IEEE Des. & Test of Comp., Apr. 85, pp. 21-28.

[9]	V. D. Agrawal, C. R. Kime, and K. K. Saluja, “A Tutorial on Built-In Self-Test, Part 1: Principles,” in Proc. IEEE Design and Test of Computers, vol. 10, no. 1, Mar. 1993, pp. 73-82.
[10]	B. Koenemann, J. Mucha, and G. Zwiehoff, “Built-In Test for Complex Digital Integrated Circuits,” IEEE Journal of Solid-State Circuits, vol. SC-15, no. 3, June 1980, pp.315-318.
[11]	V. D. Agrawal, R. Dauer, S. K. Jain, H. A. Kalvonjian, C. F. Lee, K. B. McGregor, M. A. Pashan, C. E. Stroud, and L.-C. Suen, “BIST at Your Gingertips Handbook,” AT&T, June 1987.
[12]	C. Dufaza and G. Cambon, “LFSR-Based Deterministic and Pseudo-random Test Pattern Generator Structures,” in Proc. the European Test Conf., Apr. 1991, pp. 27-34.
[13]	P. H. Bardell and W. H. McAnney, “Self-Testing of Multichip Logic Modules,” in Proc. International Test Conf., Nov. 1982, pp. 200-204.
[14]	C.-H. Chiang, and S.K. Gupta, “Random Pattern Testable Logic Synthesis,” in Proc. International Conference on Computer-Aided Design (ICCAD), 1994, pp. 125-128.
[15]	E. B. Eichelberger, and E. Lindbloom, “Random-Pattern Coverage Enhancement and Diagnosis for LSSD Logic Self-Test,” IBM Journal of Research and Development, Vol. 27, No. 3, May. 1983, pp. 265-272.
[16]	E. B. Eichelberger, and E. Lindbloom, F. Motica, and J. Waicukauski, “ Weighted Random Pattern Testing Apparatus and Method,” US Patent 4,801,870, Jan. 89.
[17]	C. Kim and S.-M. Kang, “A Low-Swing Clock Double-Edge Triggered Flip-Flop,” IEEE J. Solid-State Circuits, vol. 37, no. 5, May. 2002, pp.648-652.
[18]	C.-Y. Wang and K. Roy, “Maximum Power Estimation for CMOS Circuits Using Deterministic and Statistical Approaches,” IEEE Transactions on VLSI Systems, vol. 6, no. 1, Mar. 1998, pp. 134-140.
[19]	N. Nicolici and X. Wen, “Embedded Tutorial on Low Power Test,” in Proc. European Test Symp. (ETS' 07), Freiburg, Germany, May. 20-24, 2007, pp. 202-207.
[20]	S. Hellebrand, B. Reeb, S. Tarnick, and H.-J.Wunderlich, “Pattern Generation for a Deterministic BIST Scheme,” in Proc. International Conference on Computer-Aided Design (ICCAD, 1995), pp 88-94.
[21]	N. A. Touba, and E.J. McCluskey, “Automated Logic Synthesis of Random Pattern Testable Circuits,” in Proc. International Test Conference, 1994, pp. 174-183.
[22]	Y. Han, Y. Hu, H. Li, X. Li, and A. Chandra, “Rapid and Energy-Efficient Testing for Embedded Cores”, in Proc. Asian Test Symposium (ATS), 2004.
[23]	C. V. Krishna, A. Jas, and N. A. Touba, “Test Vector Encoding Using Partial LFSR Reseeding,” in Proc. International Test Conference, 2001, pp. 885-893.
[24]	N. A. Touba, and E.J. McCluskey, “Synthesis of Mapping Logic for Generating Transformed Pseudo-Random Patterns for BIST,” in Proc. International Test Conference, 1995, pp. 674-682.
[25]	N. A. Touba, and E.J. McCluskey, “Transformed Pseudo-Random Patterns for BIST,” in Proc. VLSI Test Symposium, 1995, pp. 410-416.
[26]	A. Al-Alyamani, and E. J. McCluskey, “Built-In Reseeding for Serial BIST,” In Proc. VLSI Test Symopsium, Apr. 2003.
[27]	T.-C. Huang and K.-J. Lee, “A Token Scan Architecture for Low-Power Testing,” in Proc. IEEE Int’l Test Conf. (ITC’01), Baltimore, MD, USA, Oct. 30-Nov. 1, 2001, pp 661-669.
[28]	P. Girad, “Survey of Low-Power Testing of VLSI Circuits,” IEEE Design & Test of Computers, vol. 19, no. 3, May/June, 2002, pp. 82-92.
[29]	S. Wang and S. K. Gupta, “DS-LFSR: A New BIST TPG for Low Heat Dissipation,” in Proc. IEEE Int’l Test Conf. (ITC’97), Washington, DC, USA, Nov. 1-6, 1997, pp. 848-857.
[30]	P. Rosinger, B. M. Al-Hashimi, and N. Nicolici. “Scan Architecture with Mutually Exclusive Scan Segment Activation for Shift- and Capture-Power Reduction,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, no.7, Jul. 2004. pp. 1142-1153.
[31]	S. Gerstendorfer and H. J. Wunderlich, “Minimized Power Consumption for Scan-Based BIST,” in Proc. IEEE Int’l Test Conf. (ITC’99), Atlantic City, NJ, USA, Sept. 28-30, 1999, pp. 77-84.
[32]	S. Wang and S. K. Gupta, “ATPG for Heat Dissipation Minimization during Test Application,” IEEE Trans. Computers, vol. 47, no. 2, Feb. 1998, pp. 256-262.
[33]	S. Wang and S. K. Gupta, “ATPG for Heat Dissipation Minimization for Scan Testing,” in Proc. ACM/IEEE Design Auto. Conf. (DAC’97), New York, NY, USA, 1997, pp. 614-619.
[34]	X. Wen, S. Kajihara, K. Miyase, T. Suzuki, K. Saluja, L.-T. Wang, K. Abdel-Hafez, and K. Kinoshita, “A New ATPG Method for Efficient Capture Power Reduction during Scan Testing,” in Proc. IEEE VLSI Test Symp. (VTS’06), Berkeley, CA, USA, Apr, 2006, pp.58-63.
[35]	S. Chakravarty and V. Dabholkar, “Minimizing Power Dissipation in Scan Circuits during Test Application,” in Proc. IEEE Asian Test Symp. (ATS’94), Nara, Japan, Nov 15-17, 1994, pp. 51-56.
[36]	P. Girard, C. Landrault, S. Pravossoudovitch and D.Severac, “Reducing Power Consumption during Test Application by Test Vector Ordering,” in Proc. Int’l Circuits and Systems Symp. (ISCAS’98), vol. 2, Monterey, CA, USA, May. 31-Jun. 3, 1998, pp. 296-299.
[37]	P. Girard, L. Guiller, C. Landrault, and S. Pravossoudovitch, “A Test Vector Ordering Technique for Switching Activity Reduction during Test Operation,” in Proc. Great Lakes Symp. on VLSI (GLS-VLSI’ 99), Ypsilanti, MI, USA, Mar. 4-6, 1999, pp. 24-27.
[38]	W.-D. Tseng and L.-J. Lee, “Reduction of Power Dissipation during Scan Testing by Test Vector Ordering,” IEEE 8th Int’l Workshop on Microprocessor Test & Verification. (MTV’07), Austin, TX, USA, Dec. 4-6, 2007.
[39]	R. Sankaralingam and N. A. Touba, “Controlling Peak Power during Scan Testing,” in Proc. IEEE VLSI Test Symp. (VTS’02), Apr. 28-May. 2, 2002. pp.153-159.
[40]	R. Sankaralingam, R. R. Oruganti, and N. A. Touba. “Static Compaction Techniques to Control Scan Vector Power Dissipation,” in Proc. IEEE VLSI Test Symp. (VTS’00), Montreal, QC, Canada, Apr. 30-May. 4, 2000, pp. 35-40.
[41]	N. Badereddine, P. Girard, S. Pravossoudovitch, C. Landrault, A. Virazel, H.-J. Wunderlich, “Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics,” in Proc. Design & Test of Integrated Systems in Nanoscale Technology. (DTIS’06), Tunis, Tunisia, Sept. 5-7, 2006, pp. 359-364.
[42]	X. Wen, K. Miyase, T. Suzuki, Y. Yamato, S. Kajihara, L.-T. Wang, and K. Saluja, “A Highly-Guided X-Filling Method for Effective Low-Capture-Power Scan Test Generation,” in Proc. IEEE Int’l International Conference on Computer Design (ICCD’06), San Jose, CA, USA, Oct. 1-4, 2006, pp. 251-258.
[43]	X. Wen, Y. Yamashita, S. Kajihara, L.-T. Wang, K. Saluja, and K. Kinoshita, “On Low-Capture-Power Test Generation for Scan Testing,” in Proc. IEEE VLSI Test Symp. (VTS’05), Palm Springs, CA, USA, May. 1-5, 2005, pp. 265-270.
[44]	X. Wen, Y. Yamashita, S. Morishima, S. Kajihara, L.-T. Wang, K. K. Saluja, and K. Kinoshita, “Low-Capture-Power Test Generation for Scan-Based At-Speed Testing,” in Proc. IEEE Int’l Test Conf. (ITC’05), Austin, TX, USA, Nov 8-10, 2005. pp 1-10.
[45]	V. Dabholkar, S. Chakravarty, I. Pomeranz, and S. M. Reddy, “Techniques for Minimizing Power Dissipation in Scan and Combinational Circuits during Test Application,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 17, no. 12, Dec. 1998, pp. 1325-1333.
[46]	W.-D. Tseng, “Scan Chain Ordering Technique for Switching Activity Reduction during Scan Test,” IEE Proceedings on Computers and Digital Techniques, vol. 152, no. 5, Sept. 2005, pp. 609-617.
[47]	Y. Bonhomme, P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, and A. Virazel, “Design of Routing-Constrained Low Power Scan Chains,” in Proc. Design Automation and Test in Europe conference and exhibition, Paris, France, Feb 16-20, 2004, pp. 62-67.
[48]	L. Whetsel, “Adapting Scan Architectures for Low Power Operation,” in Proc. IEEE Int’l Test Conf. (ITC’00), Atlantic City, NJ, USA, Oct. 3-5, 2000, pp. 863-872.
[49]	J. Saxena, K. M. Butler, and L. Whetsel, “An Analysis of Power Reduction Techniques in Scan Testing,” in Proc. IEEE Int’l Test Conf. (ITC’01), Baltimore, MD, USA, Oct. 30-Nov. 1, 2001, pp. 670-677.
[50]	Y. Bonhomme, P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, “A Gated Clock Scheme for Low Power Scan Testing of Logic ICs or Embedded Cores,” in Proc. IEEE Asian Test Symp. (ATS’01), Kyoto, Japan, Nov 19-21, 2001, pp. 253-258.
[51]	N. Nicolici and B. M. Al-Hashimi, “Multiple Scan Chains for Power Minimization during Test Application in Sequential Circuits,” IEEE Trans. Computers, vol. 51, no. 6, Jun. 2002, pp. 721-734.
[52]	K. J. Lee, T. C. Huang, and J. J. Chen, “Peak-power reduction for multiple-scan circuits during test application,” in Proc. IEEE Asian Test Symp. (ATS’00), Taipei, Taiwan, Dec. 4-6, 2000, pp.453-458.
[53]	R. Sankaralingam and N. A. Touba, “Reducing test power during test using programmable scan chain disable,” in Proc. The First IEEE International Workshop on Electronic Design, Test and Applications. (DELTA’02), Christchurch, New Zealand, Jan. 29-31, 2002, pp.159-163.
[54]	R. Sankaralingam and N. A. Touba, “Inserting Test Points to Control Peak Power During Scan Testing”, in Proc. IEEE Int’l Symp. Defect and Fault Tolerance in VLSI Systems. (DFT’02), Vancouver, Canada, Nov. 6-8, 2002, pp. 138-146.
[55]	T.-C. Huang and K.-J. Lee, “Reduction of Power Consumption in Scan-Based Circuits during Test Application by an Input Control Technique,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 20, no. 7, Jul. 2001, pp. 911-917.
[56]	E. Alpaslan, Y. Huang, X. Lin, W.-T. Cheng, J. Dworak, “Reducing Scan Shift Power at RTL,” in Proc. IEEE VLSI Test Symp. (VTS’08), San Diego, CA, USA, Apr. 27-May. 1, 2008, pp. 139-146.
[57]	P.-H. Wu, T.-T. Chen, W.-L. Li, and J.-C. Rau, “An efficient test-data compaction for low power VLSI testing,” in Proc. IEEE Int. Conf. on Electro/Information Technology (EIT’08), Ames, IA, USA, May 18-20, 2008, pp. 237-241.
[58]	I. Hamzaoglu and J. H. Patel, “Test set compaction algorithms for combinational circuit,” in Proc. IEEE Int. Conf. Computer-Aided Design (ICCAD’98), New York, NY, USA, Nov. 8-12, 1998, pp. 283-289.
[59]	A. El-Maleh, “Efficient test compression technique based on block merging,” IET. Comput. & Digit. Tech., vol. 2, no. 5, Sept. 2008, pp. 327-335.
[60]	A. El-Maleh, “Test data compression for system-on-a-chip using extended frequency-directed run-length code,” IET. Comput. & Digit. Tech., vol. 2, no. 3, May 2008, pp. 155-163.
[61]	X. Kavousianos, E. Kalligeros, and D. Nikolos, “Multilevel Huffman coding: An efficient test-data compression method for IP cores,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 26, no. 6, June 2007, pp. 1070-1083.
[62]	X. Kavousianos, E. Kalligeros, and D. Nikolos, “Optimal selective Huffman coding for test-data compression,” IEEE Trans. Comput., vol. 56, no. 8, Aug. 2007, pp. 1146-1152.
[63]	M. Tehranipoor, M. Nourani, and K. Chakrabarty, “Nine-coded compression technique for testing embedded cores in SoCs,” IEEE Trans. on VLSI Syst., vol. 13, no. 6, June 2005, pp. 719-731.
[64]	M. Nourani and M. H. Tehranipour, “RL-Huffman encoding for test compression and power reduction in scan applications,” ACM Trans. on Des. Autom. of Electr. Syst., vol. 10, no. 1, Jan. 2005, pp. 91-115.
[65]	P. T. Gonciari, B. M. Al-Hashimi, and N. Nicolici, “Variable-length input Huffman coding for system-on-a-chip test,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 22, no. 6, June 2003, pp. 783-796.
[66]	A. Jas, J. Ghosh-Dastidar, M. Ng, and N. A. Touba, “An efficient test vector compression scheme using selective Huffman coding,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 22, no. 6, June 2003, pp. 797-806.
[67]	A. Chandra and K. Chakrabarty, “Test data compression and test resource partitioning for system-on-a-chip using frequency-directed run-length (FDR) codes,” IEEE Trans. Comput., vol. 52, no. 8, Aug. 2003, pp. 1076-1088.
[68]	L. Li, K. Chakrabarty, and N. A. Touba, “Test data compression using dictionaries with selective entries and fixed-length indices,” ACM Trans. on Des. Autom. of Electr. Syst., vol. 8, no. 4, Oct. 2003, pp. 470-490.
[69]	A. Chandra and K. Chakrabarty, “System-on-a-chip test-data compression and decompression architectures based on Golomb codes,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 20, no. 3, Mar. 2001, pp. 355-368.
[70]	W.-L. Li, P.-H. Wu, and J.-C. Rau, “Reducing switching activity by test slice difference technique for test volume compression,” in Proc. IEEE Int. Symp. on Circuits and Syst. (ISCAS'09), Taipei, Taiwan, May 24-27, 2009, pp. 2986-2989. 
[71]	W.-L. Li, T.-T. Chen, P.-H. Wu, and J.-C. Rau, “Test slice difference technique for low power encoding,” in Proc. IEEE Int. Workshop on High Level Design Validation and Test (HLDVT’08), Incline Village, NV, USA, Nov. 19-21, 2008, pp. 25-32.
[72]	T. Kim, S. Chun, Y. Kim, M.-H. Yang, and S. Kang, “An effective hybrid test data compression method using scan chain compaction and dictionary-based scheme,” in Proc. IEEE Asian Test Symp. (ATS’08), Sapporo, Japan, Nov. 24-27, 2008, pp. 151-156.
[73]	M. Tehranipour, M. Nourani, and K. Chakrabarty, “Nine-coded compression technique with application to reduced pin-count testing and flexible on-chip decompression,” in Proc. IEEE Des., Autom. Test Eur. (DATE’04), Washington, DC, USA, vol. 2, Feb. 16-20, 2004, pp. 1284-1289.
[74]	S. Hellebrand and A. Wurtenberger, “Alternating run-length coding - A technique for improved test data compression,” in Proc. IEEE Int. Workshop on Test Resource Partitioning (TRP’02), Baltimore, MD, USA, Oct. 10-11, 2002.
[75]	M.-F. Wu, J.-L. Huang, X. Wen, K. Miyase, “Reducing power supply noise in linear-decompressor-based test data compression environment for at-speed scan testing,” in Proc. IEEE Int. Test Conf. (ITC’08), Santa Clara, CA, USA, Oct. 28-30, 2008, pp. 13.1.1-13.1.10.
[76]	Z. Wang, K. Chakrabarty, and M. Bienek, “A seed-selection method to increase defect coverage for LFSR-reseeding-based test compression,” in Proc. IEEE European Test Symp. (ETS'07), Freiburg, Germany, May 20-24, 2007, pp. 125-130.
[77]	J. Lee and N. A. Touba, “Combining linear and non-linear test vector compression using correlation-based rectangular coding,” in Proc. IEEE VLSI Test Symp. (VTS’06), Berkeley, CA, USA, Apr. 30-May 4, 2006, pp. 252-257.
[78]	L.-T. Wang, X. Wen, S. Wu, Z. Wang, Z. Jiang, B. Sheu, X. Gu, “VirtualScan: Test compression technology using combinational logic and one-pass ATPG,” IEEE Design & Test of Computers, vol. 25, no. 2, Mar.-Apr., 2008, pp. 122-130.
[79]	K.-J. Lee, J.-J. Chen, and C.-H. Huang, “Broadcasting test patterns to multiple circuits,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 18, no. 12, Dec. 1999, pp. 1793-1802.
[80]	A. Chandra, F. Ng, and R. Kapur, “Low power Illinois scan architecture for simultaneous power and test data volume reduction,” in Proc. IEEE Des., Autom. Test Eur. (DATE’08), Munich, Germany, Mar. 10-14, 2008, pp. 462-467.
[81]	A. Wurtenberger, C. S. Tautermann, and S. Hellebrand, “Data compression for multiple scan chains using dictionaries with corrections,” in Proc. IEEE Int. Test Conf. (ITC’04), Washington, DC, USA, Oct. 26-28, 2004, pp. 926-934.
[82]	N. Badereddine, Z. Wang, P. Girard, K. Chakrabarty, A. Virazel, S. Pravossoudovitch, and C. Landrault, “A selective scan slice encoding technique for test data volume and test power reduction,” Journal of Electronic Testing: Theory and Applications, vol. 24, no. 4, Aug. 2008, pp. 353-364.
[83]	L. Li, K. Chakrabarty, S. Kajihara, and S. Swaminathan, “Three-stage compression approach to reduce test data volume and testing time for IP cores in SOCs,” in IEE Proc. Comput. & Digit. Tech., vol. 152, no. 6, Nov. 2005, pp. 704-712.
[84]	]S.-P. Lin, C.-L. Lee, J.-E. Chen, J.-J. Chen, K.-L. Luo, and W.-C. Wu, “A multilayer data copy test data compression scheme for reducing shifting-in power for multiple scan design,” IEEE Trans. on VLSI Syst., vol. 15, no. 7, July 2007, pp. 767-776.
[85]	X. Kavousianos, E. Kalligeros, and D. Nikolos, “Multilevel-Huffman test-data compression for IP cores with multiple scan chains,” IEEE Trans. on VLSI Syst., vol. 16, no. 7, July 2008, pp. 926-931.
[86]	Y. Shi, N. Togawa, S. Kimura, M. Yanagisawa, and T. Ohtsuki, “FCSCAN: An efficient multiscan-based test compression technique for test cost reduction,” in Proc. IEEE Asia South Pacific Design Autom. Conf. (ASP-DAC'06), Piscataway, NJ, USA, Jan. 24-27, 2006, pp. 653-658.
[87]	O. Sinanoglu, “Scan architecture with Align-Encode,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 27, no. 12, Dec. 2008, pp. 2303-2316.
[88]	I. Bayraktaroglu and A. Orailoglu, “Decompression hardware determination for test volume and time reduction through unified test pattern compaction and compression,” in Proc. IEEE VLSI Test Symp. (VTS’03), Washington, DC, USA, Apr. 27-May 1, 2003, pp. 113-118.
[89]	H. Tang, S. M. Reddy, and I. Pomeranz, “On reducing test data volume and test application time for multiple scan chain designs,” in Proc. IEEE Int. Test Conf. (ITC’03), Sept. 30-Oct. 2, 2003, pp. 1079-1088.
[90]	O. Sinaoglu, I. Bayraktaroglu, and A. Orailoglu, “Scan power reduction through test data transition frequency analysis,” in Proc. IEEE Int. Test Conf. (ITC’02), Washington, DC, USA, Oct. 7-10, 2002, pp. 844-850.
[91]	N.-C. Lai, S.-J. Wang, and Y.-H. Fu, “Low-power BIST with a smoother and scan-chain reorder under optimal cluster size,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 25, no. 11, Nov. 2006, pp. 2586-2594.
[92]	A. Chandra and K. Chakrabarty, “Combining low-power scan testing and test data compression for system-on-a-chip,” in Proc. IEEE Design Autom. Conf. (DAC’01), New York, NY, USA, 2001, pp. 166-169.
[93]	K. J. Balakrishnan and N. A. Touba, “Relationship between entropy and test data compression,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 26, no. 2, Feb. 2007, pp. 386-395.
[94]	S.-J. Wang, S.-C. Chen, and K. S.-M. Li, “Design and analysis of skewed-distribution scan chain partition for improved test data compression,” in Proc. IEEE Int. Symp. on Circuits and Syst. (ISCAS'08), Seattle, WA, USA, May 18-21, 2008, pp. 2641-2644.
[95]	H. Fang, C. Tong, and X. Cheng, “RunBasedReordering: A novel approach for test data compression and scan power,” in Proc. IEEE Asia South Pacific Design Autom. Conf. (ASP-DAC'07), Yokohama, Japan, Jan. 23-26, 2007, pp. 732-737.
[96]	J. C. Rau, T. W. Yang and Y-F Ho, “Built-In Reseeding with Modifying Technique for BIST,” WSEAS international Conference on CIRCUITS, 2004.
[97]	D. Brelaz, 1979, “New methods to color the vertices of a graph,” Commun. ACM, pp.251-256.
[98]	C. G. Cullen, 1997, “Linear Algebra with Applications,” Addison-Wesley, ISBN 0-673-99386-8.
[99]	Synopsys TetraMAX ATPG user guide version x-2005.09.
[100]	X. Kavousianos, E. Kalligeros, and D. Nikolos, “Multilevel-Huffman test-data compression for IP cores with multiple scan chains”, IEEE Trans. on VLSI Syst., 2008, 16, (7), pp. 926-931.
[101]	J. Rajski, J. Tyszer, M. Kassab, and N. Mukherjee, “Embedded deterministic test (EDT),” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2004, 23, (5), pp. 776–792.
論文全文使用權限
校內
校內紙本論文立即公開
同意電子論文全文授權校園內公開
校內電子論文立即公開
校外
同意授權
校外電子論文立即公開

如有問題,歡迎洽詢!
圖書館數位資訊組 (02)2621-5656 轉 2487 或 來信