§ 瀏覽學位論文書目資料
  
系統識別號 U0002-0407201710301800
DOI 10.6846/TKU.2017.00100
論文名稱(中文) 三維積體電路矽穿孔之內建自我測試與內建自我雙通道修復研究設計
論文名稱(英文) Build-In Self-Test and Build–In Dual Channel Self Repair Design for TSV-based 3D IC
第三語言論文名稱
校院名稱 淡江大學
系所名稱(中文) 電機工程學系碩士在職專班
系所名稱(英文) Department of Electrical and Computer Engineering
外國學位學校名稱
外國學位學院名稱
外國學位研究所名稱
學年度 105
學期 2
出版年 106
研究生(中文) 簡堯彬
研究生(英文) Yao-Pin Chen
學號 704440188
學位類別 碩士
語言別 繁體中文
第二語言別
口試日期 2016-06-19
論文頁數 52頁
口試委員 指導教授 - 饒建奇(jcrau@ee.tku.edu.tw)
委員 - 呂學坤(sklu@mail.ntust.edu.tw)
委員 - 施鴻源(hyshih.tw@gmail.com)
關鍵字(中) 內建修補
3D IC
內建檢測
關鍵字(英) 3D IC
BIST
BISR
第三語言關鍵字
學科別分類
中文摘要
本論文『三維積體電路矽穿孔之內建自我測試與內建自我雙通道修復研究設計』,針對三維積體電路(Three-Dimensional Integrated Circuit, 3D ICs)中的矽穿孔(Through Silicon Via, TSV)規劃設計一內建自我測試與自我雙通道修復的架構。三維積體電路主要是由使用矽穿孔來作為垂直方向的通道,矽穿孔常因製程中的失誤產生錯位錯誤(Misalignment)以及隨機錯誤(Random Defect),使得矽穿孔無法正確的傳遞訊號。本論文擬針對錯誤矽穿孔設計一內建自我修復架構,針對錯誤的矽穿孔做取代修復的動作,提升整體電路之良率。
英文摘要
This paper "the three-dimensional integrated circuit silicon perforation built-in self test and built-in double channel self repair design" for 3D ICs (Three-Dimensional Integrated Circuit 3D ICs) Through in Silicon Via, TSV planning and design a built-in self testing and self repair of double channel architecture. Three dimensional integrated circuit mainly by using silicon holes as vertical channels, often because of perforated silicon process fault dislocation error (Misalignment) and random error (Random Defect), which can not correctly transfer signal silicon perforation. This thesis intends to design an internal self repairing architecture for the wrong silicon perforation, which will replace the repair of the wrong silicon hole, and improve the overall circuit yield.
第三語言摘要
論文目次
目錄
第一章 緒論	1
1.1  引言	1
1.2  研究動機	2
1.3  組織架構	5
第二章  三維積體電路自我測試與修復以及電路技術	6
2.1  內建自我測試Bulit-in Self-Test (BIST)	6
2.2  內建自我修補Built-In Self-Repair (BISR)	9
第三章  三維積體電路製造技術	13
3.1  三維積體電路(3D IC)	13
3.1.1  矽通道Through-Silicon-Via (TSV)	15
3.1.2  三維積體電路製造技術	16
3.2  相關研究	21
3.3  BIST和BISR設計	24
3.3.1  故障模型	26
3.4  BIST的設計	29
3.4.1  故障檢測	30
3.5  BISR的設計	33
3.5.1  配置冗餘TSV	34
3.5.2  修復故障TSV的方法	35
3.6  雙通道內建修補	38
第四章  實驗結果	41
4.1  簡介	41
4.2  實驗方式	41
4.3  實驗數據	43
4.3.1  單通道BISR模擬數據	43
4.3.2  雙通道BISR模擬數據	44
4.4  實驗比較	45
第五章  結論與未來展望	46
5.1  結論	46
5.2  未來展望	46
參考文獻	47







圖表目錄
圖 1.1  晶片偏移和旋轉	2
圖 1.2  TSV上下層電氣模型	3
圖 1.3  2D IC中BIST和BISR設計的框圖	4
圖 2.1 BIST設計架構	7
圖 2.2 嵌入式RAM的MBISR方案	10
圖 2.3 一個8 * 8位的可修復RAM的概念圖,一個備用行一個備用列	11
圖 2.4 Fuse macro 指令概念圖	12
圖 3.1 2D IC推疊成3D IC	14
圖 3.2 TSV電氣模型	15
圖 3.3 矽穿孔製造過程	18
圖 3.4 Via-Last	19
圖 3.5 Via-Fist	19
圖 3.6 Via-Middle	20
圖 3.7 兩層之間的TSV	21
圖 3.8 3D IC TSV架構	22
圖 3.9 TSV的3D IC架構的BIST和BISR基本設計	24
圖 3.10 TSV的產量趨勢	25
圖 3.11 TSV的故障和電氣模型	27
圖 3.12 TSV的測試操作	28
圖 3.13 BIST架構電路設計	29
圖 3.14 BIST設計中的測試程序的操作	31
圖 3.15 BIST測試操作流程	32
圖 3.16 BISR的電路設計	33
圖 3.17 冗餘TSV的配置	34
圖 3.18 BISR的修補	36
圖 3.19 修補過程中的修補和交換動作	36
圖 3.20 BISR流程圖	37
圖 3.21 雙通道修補架構	38
圖 3.22 BISR雙通道流程圖	39
圖 4.1 單通道檢測波形	43
圖 4.2 單通道輸出電路	43
圖 4.3 雙通道檢測波形	44
圖 4.4 雙通道輸出電路	44
表 4.1 模擬結果差異	45
參考文獻
[1]    I. Loi, S. Mitra, T. H. Lee, S. Fujita, and L. Benini, “A low-overhead fault tolerance scheme for tsv-based 3D network on chip links,” In Proc. of Int’I Conf. on Co,piter-Aided Design, Nov.2008, pp. 598-602.
[2]    I. Savidis, and E. G. Friedman, “Closed-form expressions of 3-D via resistance, inductance, and capacitance,” IEEE Trans. Electron Devices, vol. 56, no.9, pp 1873-1881, Sep. 2009.
[3]    R. Weerasekera, M. Grange, D. Pamunuwa, H. Tenhunen, and L. R. Zheng, “Compact modelling of Through-Silicon Vias (TSVs) in three-dimensional (3-D) integrated circuits,” IEEE Int’I Conf. on 3D system Integration, Sep. 2009, pp. 1-8.
[4]    P. Nilsson, A. Ljunggren, R. Thorslund, M. Hagstrom, and V. Linkskog, “Novel through-silicon via technique for 2d/3d SiP and interposer in low-resistance applications,” Electronic Components and Technology Conf., May 2009, pp. 1796-1801.
[5]    S. Pasricha, “Exploring serial vertical interconnects for 3D ICs,” IEEE/ACM Design Automation Conf. (DAC), Jul. 2009, pp. 581-586.
[6]    K.-N. Chen, C. Tan, A. Fan, and R. Reif, “morphology and bond strength of copper wafer bonding,” in Electrochem. Solid-State Letter, vol. 7, 2004, pp. 14-16.
[7]    V.Schober, S. Paul, and O. Picot, “Memory Built-in self-repair using redundant word,” Proc. of the IEEE Int’I Test Conf., Oct./Nov., 2001, p.995-1001.
[8]    J.-F. Li, J.-C. Yeh, R.-F. Huang, and, C.-W. Wu, “A built-in self-repair design for RAMs with 2-D redundancy,” IEEE Trand. Very Large Scale Integration (VLSI) systems, vol. 13, no. 6, pp.742-745,june 2005.
[9]    Y.-Y. Hsiao,C.-H. chen, and, C.-W. Wu, “A built-in self-repair scheme for NOR-type flash memory,” Proc. 24th IEEE VLSI test Symposium,2006.
[10]   L.-M. Denq, T.-C. Wang and, C.-W. Wu, “An Enhanced SRAM BISR Design with Reduced Timing Penalty,” 15th Asian Test Symposium, Nov.2006, pp. 25-30.
[11]   S. K. Thakur, R. Parekhji and, A. N. Chandorkar, “On-chip test and repair of memories for static and dynamic faults,” IEEE Int’I Test Conf., Oct. 2006, pp. 1-10.
[12]   T. Koal and, H. T. Viethau, “Basic architecture for logic self repair,” 14th IEEE Int’I On-Line Testing Symposium, Jul. 2008, pp. 177-178.
[13]   Y.-F. Chou, D.-M. Kwai, and C.-W. Wu, “Memory repair by die stacking with through silicon vias,” IEEE Int’I workshop on Memory Technology, Design, and Testing, Aug./Sep. 2009, pp. 53-58.
[14]   M.Franklin, K.K. Saluja, “Hypergraph coloring and reconfigured RAM testing,” IEEE Trans. Computers, Vol. 43, No. 6, June 1994.
[15]   C.-H. Hsu, S.-K. Lu, and S.-Y. Kuo, “Novel fault-tolerant techniques for high capacity RAMs,” Pacific Rim Int’I Dependable Computering Symposium, 2001, pp. 11-18.
[16]   A.Benso, S.Chiusano, G. Di Natale, and P. Prinetto, “An on-line BIST RAM architecture with self-repair capabilities”, IEEE Trans. Reliability, vol. 51, no. 1, pp. 123-128, mar. 2002.
[17]   S. Wang, “A BIST TPG for low power dissipation and high fault coverage,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 15, no. 17, pp. 777-789, 2007.
[18]   M. Abramovici, M. A. Breuer, and A. D. Friedman, Digital Systems Testing and Testable Design, New York, IEEE Press, 1990.
[19]   V. Sridhar, M. Rajendra Prasad, Built-in self-repair (BISR) technique widely Used to repair embedded random access memories (RAMs), 2012
[20]   H.-H. S. Lee, and K. Chakrabarty, “Test challenges for 3D integrated Circuits,” IEEE Design & Test of Computers, vol. 26, no. 5, pp. 26-35, Sep./Oct. 2009.
[21]   N. Silon, A. Astier, H. Boutry, L.Di Cioccio, D. Henry, and P. Leduc, “Enabling technologies for 3D integration: From packaging miniaturization to advanced stacked ICs, “Int’I Electron Devices Meeting, Dec. 2008, pp. 1-4.
[22]   N. Miyakawa, E. Hasimoto, T. Maebashi, N. Nakamura, Y. Sacho, S. Nakayama, and S. Toyoda, “Stacking technology based on 8-inch wafers using direct connection between TSV and micro-bump, “in Proc. IEEE Custom Integrated Circuits Conf., Sep. 2008, pp. 647-650.
[23]   I. Loi, S. Mitra, T. H. Lee, S. Fujita, and L. Benini, “A low-overhead fault tolerance scheme for tsv-based 3D network on chip links,” In Proc. of Int’I Conf. on Computer-Aided Design, Nov. 2008, pp. 595-602.
[24]   S. Fujita, K. Ade, K. Nomura, S. Yasuda, and T. Tanamoto, “Perspectives and issues in 3D-IC from designers’ point of view,” IEEE Int’I Symposium on Circuits and Systems, May 2009, pp. 73-76.
[25]   F. Wang, X. Li, R Cheng, K. Jiang, and S. Feng, “silicon cantilever arrays with bypass metal through-silicon-via (TSV) tips for micromachined IC testing probe cards,” Microelectronic Engineering, pp. 2211-2216, 2009.
[26]   M. Motoyoshi, “Through-silicon via (TSV),” Proc. of the IEEE, vol. 97, no. 1, pp. 43-48, Jan. 2009.
[27]   P. benkart, A. Kaiser, A. Munding, M. Bschorr, H. J. Pfleiderer, E. Kohn, A. Heittmann, H. Huebner, and U. Ramacher, “3D chip stack technology using through-chip interconnects,” IEEE Design & Test of Computers, vol. 22 no. 6, pp. 512-518, Nov. 2005.


[28]   I. Loi, F. Angiolini, and L. Benini, “Supporting vertical Links for 3D networks-on-chip: toward an automated design and analysis flow,” Proc. of the 2nd Int’I Conf. on Nano-networks, Catania, Italy, Sep. 24-26, 2007.
[29]   K. Siozios, V. F. Pavlidis, and D. Soudris, “A software-supported methodology for exploring interconnection architectures targering 3-D FPGAs,” Design, Automation & Test in Europe Conf. & Exhibition, Apr. 2009, pp. 172-177.
[30]   Y. F. Chou, “Expectations and challenges of 3D IC design, “ITRI, July 2009.
[31]   A. Shayan, X. Hu, H. Peng, C.-K. Cheng, W. Yu, M. Popovich, T. Toms, and X. Chen, “Reliability aware through silicon via planning for 3D stacked ICs,” Design, Automation & Test in Europe Conf. & Exhibition, Apr. 2009, pp. 288-291.
[32]   D. L. Lewis, and H.-H. S. Lee, “Testing Circuit-partitioned 3D IC designs,” IEEE computer Society Annual Symposium on VlSI, May 2009, pp. 139-144.
[33]   S. M. Alm, R. E. Jones, S. Pozder, R. Chatterjee, S. Rauf, and A. Jain, “Interstratum connection design considerations for cost-effective 3-D system integration,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems: Accepted for future publication, 2009.
[34]  M. Tsai, A. Klooz, A. Leonard, J. Apple, and P. Franzon, “Through Silicon Via (TSV) defect/pinhold self test circuit for 3D-IC,” IEEE Int’I Conf. on 3D System Integration, Sep. 2009, pp. 1-8.
[35]   P.-Y. Chen, C.-W. Wu, and D.-M. Kwai, “On-chip TSV testing for 3d ic before bonding using sense amplification,” 18th Asian Test Sympopsium, Nov. 2009, pp. 45-455.



[36]   T.-Y. Kuo, S.-M. Chang, Y.-C Shih, C.-W. Chiang, C.-K. Hsu, C. K. Lee, C.-T. Lin, Y.-H. Chen, and W.-C. Lo, “Reliability tests for a three dimensional chip stacking structure with through silicon via connections and low cost,” Electronic Components and Technology Conf., May 2008, pp. 853-858.
[37]   S. Gupta, M. Hilbert, S. Hong, and R. Patti, “Techniques for producing 3-D ICs with high-density interconnect,” Int. Conf. VLSI Multi-Level Interconnection Conference, 2004.
[38]   K. Siozios, V.F. Pavlidis, and D. Soudris, “A software-supported methodology for exploring interconnection architectures targeting 3-D FPGAs,” Design, Automation & Test in Europe Conf. & Exhibition, 2009, pp. 172-177.
論文全文使用權限
校內
校內紙本論文立即公開
同意電子論文全文授權校園內公開
校內電子論文立即公開
校外
同意授權
校外電子論文立即公開

如有問題,歡迎洽詢!
圖書館數位資訊組 (02)2621-5656 轉 2487 或 來信